Very Large Scale Integration

Apa itu Very Large Scale Integration?

Very Large Scale Integration adalah (VLSI) Teknologi ini memungkinkan untuk memproses sinyal sampai tingkat baseband di satelit.

sumber: Kamus Istilah komputer dan Informatika. Andino Maseleno.

Tags:

Pencarian Terkait