Very large Scale Integration

Apa itu Very large Scale Integration?

Very large Scale Integration adalah Menempatkan sejumlah besar sirkuit terpadu pada satu chip. Disingkat VLSI.

sumber: Tim Dinastindo, Kamus Komputer Berilustrasi. Jakarta: DINASTINDO 1993

Tags:

Pencarian Terkait